Lines Matching defs:var

68  * SST_DEBUG_VAR : debug display/var structs
343 * sstfb_check_var - Optional function. Validates a var passed in.
344 * @var: frame buffer variable screen structure
351 static int sstfb_check_var(struct fb_var_screeninfo *var,
355 int hSyncOff = var->xres + var->right_margin + var->left_margin;
356 int vSyncOff = var->yres + var->lower_margin + var->upper_margin;
357 int vBackPorch = var->left_margin, yDim = var->yres;
358 int vSyncOn = var->vsync_len;
362 if (sst_calc_pll(PICOS2KHZ(var->pixclock), &freq, &par->pll)) {
364 PICOS2KHZ(var->pixclock));
367 var->pixclock = KHZ2PICOS(freq);
369 if (var->vmode & FB_VMODE_INTERLACED)
371 if (var->vmode & FB_VMODE_DOUBLE) {
378 switch (var->bits_per_pixel) {
380 var->bits_per_pixel = 16;
383 printk(KERN_ERR "sstfb: Unsupported bpp %d\n", var->bits_per_pixel);
388 if (var->xres <= 1 || yDim <= 0 || var->hsync_len <= 1 ||
389 hSyncOff <= 1 || var->left_margin <= 2 || vSyncOn <= 0 ||
396 tiles_in_X = (var->xres + 63 ) / 64 * 2;
398 if (var->xres > POW2(11) || yDim >= POW2(11)) {
400 var->xres, var->yres);
404 if (var->hsync_len > POW2(9) || hSyncOff > POW2(11) ||
405 var->left_margin - 2 >= POW2(9) || vSyncOn >= POW2(13) ||
413 tiles_in_X = (var->xres + 63 ) / 64;
415 if (var->vmode) {
417 var->vmode);
420 if (var->xres > POW2(10) || var->yres >= POW2(10)) {
422 var->xres, var->yres);
425 if (var->hsync_len > POW2(8) || hSyncOff - 1 > POW2(10) ||
426 var->left_margin - 2 >= POW2(8) || vSyncOn >= POW2(12) ||
437 * ((var->bits_per_pixel == 16) ? 2 : 4);
444 var->sync &= (FB_SYNC_HOR_HIGH_ACT | FB_SYNC_VERT_HIGH_ACT);
445 var->vmode &= (FB_VMODE_INTERLACED | FB_VMODE_DOUBLE);
446 var->xoffset = 0;
447 var->yoffset = 0;
448 var->height = -1;
449 var->width = -1;
454 /* var->{red|green|blue}.msb_right = 0; */
456 switch (var->bits_per_pixel) {
458 var->red.length = 5;
459 var->green.length = 6;
460 var->blue.length = 5;
461 var->transp.length = 0;
463 var->red.offset = 11;
464 var->green.offset = 5;
465 var->blue.offset = 0;
466 var->transp.offset = 0;
486 par->hSyncOff = info->var.xres + info->var.right_margin + info->var.left_margin;
488 par->yDim = info->var.yres;
489 par->vSyncOn = info->var.vsync_len;
490 par->vSyncOff = info->var.yres + info->var.lower_margin + info->var.upper_margin;
491 par->vBackPorch = info->var.upper_margin;
494 sst_calc_pll(PICOS2KHZ(info->var.pixclock), &freq, &par->pll);
496 if (info->var.vmode & FB_VMODE_INTERLACED)
498 if (info->var.vmode & FB_VMODE_DOUBLE) {
508 par->tiles_in_X = (info->var.xres + 63 ) / 64 * 2;
511 par->tiles_in_X = (info->var.xres + 63 ) / 64;
516 info->var.hsync_len, par->hSyncOff,
520 info->var.left_margin, info->var.upper_margin,
521 info->var.xres, info->var.yres, PICOS2KHZ(info->var.pixclock));
533 sst_write(BACKPORCH, par->vBackPorch << 16 | (info->var.left_margin - 2));
534 sst_write(VIDEODIMENSIONS, par->yDim << 16 | (info->var.xres - 1));
535 sst_write(HSYNC, (par->hSyncOff - 1) << 16 | (info->var.hsync_len - 1));
545 par->dac_sw.set_vidmod(info, info->var.bits_per_pixel);
588 switch (info->var.bits_per_pixel) {
599 if (info->var.vmode & FB_VMODE_INTERLACED)
601 if (info->var.vmode & FB_VMODE_DOUBLE)
603 if (info->var.sync & FB_SYNC_HOR_HIGH_ACT)
605 if (info->var.sync & FB_SYNC_VERT_HIGH_ACT)
618 switch (info->var.bits_per_pixel) {
647 info->var.xres - 1, par->yDim - 1);
649 sst_write(CLIP_LEFT_RIGHT, info->var.xres);
680 red >>= (16 - info->var.red.length);
681 green >>= (16 - info->var.green.length);
682 blue >>= (16 - info->var.blue.length);
683 transp >>= (16 - info->var.transp.length);
684 col = (red << info->var.red.offset)
685 | (green << info->var.green.offset)
686 | (blue << info->var.blue.offset)
687 | (transp << info->var.transp.offset);
807 sst_write(BLTCLIPX, info->var.xres);
808 sst_write(BLTCLIPY, info->var.yres);
1413 fb_find_mode(&info->var, info, mode_option, NULL, 0, NULL, 16);
1415 if (sstfb_check_var(&info->var, info)) {