• Home
  • History
  • Annotate
  • Raw
  • Download
  • only in /freebsd-13-stable/contrib/llvm-project/clang/lib/Format/

Lines Matching refs:IdentTable

705   AdditionalKeywords(IdentifierTable &IdentTable) {
706 kw_final = &IdentTable.get("final");
707 kw_override = &IdentTable.get("override");
708 kw_in = &IdentTable.get("in");
709 kw_of = &IdentTable.get("of");
710 kw_CF_CLOSED_ENUM = &IdentTable.get("CF_CLOSED_ENUM");
711 kw_CF_ENUM = &IdentTable.get("CF_ENUM");
712 kw_CF_OPTIONS = &IdentTable.get("CF_OPTIONS");
713 kw_NS_CLOSED_ENUM = &IdentTable.get("NS_CLOSED_ENUM");
714 kw_NS_ENUM = &IdentTable.get("NS_ENUM");
715 kw_NS_OPTIONS = &IdentTable.get("NS_OPTIONS");
717 kw_as = &IdentTable.get("as");
718 kw_async = &IdentTable.get("async");
719 kw_await = &IdentTable.get("await");
720 kw_declare = &IdentTable.get("declare");
721 kw_finally = &IdentTable.get("finally");
722 kw_from = &IdentTable.get("from");
723 kw_function = &IdentTable.get("function");
724 kw_get = &IdentTable.get("get");
725 kw_import = &IdentTable.get("import");
726 kw_infer = &IdentTable.get("infer");
727 kw_is = &IdentTable.get("is");
728 kw_let = &IdentTable.get("let");
729 kw_module = &IdentTable.get("module");
730 kw_readonly = &IdentTable.get("readonly");
731 kw_set = &IdentTable.get("set");
732 kw_type = &IdentTable.get("type");
733 kw_typeof = &IdentTable.get("typeof");
734 kw_var = &IdentTable.get("var");
735 kw_yield = &IdentTable.get("yield");
737 kw_abstract = &IdentTable.get("abstract");
738 kw_assert = &IdentTable.get("assert");
739 kw_extends = &IdentTable.get("extends");
740 kw_implements = &IdentTable.get("implements");
741 kw_instanceof = &IdentTable.get("instanceof");
742 kw_interface = &IdentTable.get("interface");
743 kw_native = &IdentTable.get("native");
744 kw_package = &IdentTable.get("package");
745 kw_synchronized = &IdentTable.get("synchronized");
746 kw_throws = &IdentTable.get("throws");
747 kw___except = &IdentTable.get("__except");
748 kw___has_include = &IdentTable.get("__has_include");
749 kw___has_include_next = &IdentTable.get("__has_include_next");
751 kw_mark = &IdentTable.get("mark");
753 kw_extend = &IdentTable.get("extend");
754 kw_option = &IdentTable.get("option");
755 kw_optional = &IdentTable.get("optional");
756 kw_repeated = &IdentTable.get("repeated");
757 kw_required = &IdentTable.get("required");
758 kw_returns = &IdentTable.get("returns");
760 kw_signals = &IdentTable.get("signals");
761 kw_qsignals = &IdentTable.get("Q_SIGNALS");
762 kw_slots = &IdentTable.get("slots");
763 kw_qslots = &IdentTable.get("Q_SLOTS");
766 kw_dollar = &IdentTable.get("dollar");
767 kw_base = &IdentTable.get("base");
768 kw_byte = &IdentTable.get("byte");
769 kw_checked = &IdentTable.get("checked");
770 kw_decimal = &IdentTable.get("decimal");
771 kw_delegate = &IdentTable.get("delegate");
772 kw_event = &IdentTable.get("event");
773 kw_fixed = &IdentTable.get("fixed");
774 kw_foreach = &IdentTable.get("foreach");
775 kw_implicit = &IdentTable.get("implicit");
776 kw_internal = &IdentTable.get("internal");
777 kw_lock = &IdentTable.get("lock");
778 kw_null = &IdentTable.get("null");
779 kw_object = &IdentTable.get("object");
780 kw_out = &IdentTable.get("out");
781 kw_params = &IdentTable.get("params");
782 kw_ref = &IdentTable.get("ref");
783 kw_string = &IdentTable.get("string");
784 kw_stackalloc = &IdentTable.get("stackalloc");
785 kw_sbyte = &IdentTable.get("sbyte");
786 kw_sealed = &IdentTable.get("sealed");
787 kw_uint = &IdentTable.get("uint");
788 kw_ulong = &IdentTable.get("ulong");
789 kw_unchecked = &IdentTable.get("unchecked");
790 kw_unsafe = &IdentTable.get("unsafe");
791 kw_ushort = &IdentTable.get("ushort");
792 kw_when = &IdentTable.get("when");
793 kw_where = &IdentTable.get("where");