Lines Matching refs:tmode

880   enum machine_mode mode = VOIDmode, tmode;
927 for (tmode = GET_CLASS_NARROWEST_MODE (MODE_INT);
928 tmode != VOIDmode; tmode = GET_MODE_WIDER_MODE (tmode))
929 if (GET_MODE_SIZE (tmode) < max_size)
930 mode = tmode;
962 tmode = mode_for_size (MOVE_MAX_PIECES * BITS_PER_UNIT, MODE_INT, 1);
963 if (align >= GET_MODE_ALIGNMENT (tmode))
964 align = GET_MODE_ALIGNMENT (tmode);
969 for (tmode = GET_CLASS_NARROWEST_MODE (MODE_INT), xmode = tmode;
970 tmode != VOIDmode;
971 xmode = tmode, tmode = GET_MODE_WIDER_MODE (tmode))
972 if (GET_MODE_SIZE (tmode) > MOVE_MAX_PIECES
973 || SLOW_UNALIGNED_ACCESS (tmode, align))
984 for (tmode = GET_CLASS_NARROWEST_MODE (MODE_INT);
985 tmode != VOIDmode; tmode = GET_MODE_WIDER_MODE (tmode))
986 if (GET_MODE_SIZE (tmode) < max_size)
987 mode = tmode;
1040 enum machine_mode tmode;
1042 tmode = mode_for_size (MOVE_MAX_PIECES * BITS_PER_UNIT, MODE_INT, 1);
1043 if (align >= GET_MODE_ALIGNMENT (tmode))
1044 align = GET_MODE_ALIGNMENT (tmode);
1047 enum machine_mode tmode, xmode;
1049 for (tmode = GET_CLASS_NARROWEST_MODE (MODE_INT), xmode = tmode;
1050 tmode != VOIDmode;
1051 xmode = tmode, tmode = GET_MODE_WIDER_MODE (tmode))
1052 if (GET_MODE_SIZE (tmode) > MOVE_MAX_PIECES
1053 || SLOW_UNALIGNED_ACCESS (tmode, align))
1064 for (tmode = GET_CLASS_NARROWEST_MODE (MODE_INT);
1065 tmode != VOIDmode; tmode = GET_MODE_WIDER_MODE (tmode))
1066 if (GET_MODE_SIZE (tmode) < max_size)
1067 mode = tmode;
2202 enum machine_mode mode, tmode;
2213 tmode = mode_for_size (STORE_MAX_PIECES * BITS_PER_UNIT, MODE_INT, 1);
2214 if (align >= GET_MODE_ALIGNMENT (tmode))
2215 align = GET_MODE_ALIGNMENT (tmode);
2220 for (tmode = GET_CLASS_NARROWEST_MODE (MODE_INT), xmode = tmode;
2221 tmode != VOIDmode;
2222 xmode = tmode, tmode = GET_MODE_WIDER_MODE (tmode))
2223 if (GET_MODE_SIZE (tmode) > STORE_MAX_PIECES
2224 || SLOW_UNALIGNED_ACCESS (tmode, align))
2242 for (tmode = GET_CLASS_NARROWEST_MODE (MODE_INT);
2243 tmode != VOIDmode; tmode = GET_MODE_WIDER_MODE (tmode))
2244 if (GET_MODE_SIZE (tmode) < max_size)
2245 mode = tmode;
2378 enum machine_mode mode = VOIDmode, tmode;
2400 for (tmode = GET_CLASS_NARROWEST_MODE (MODE_INT);
2401 tmode != VOIDmode; tmode = GET_MODE_WIDER_MODE (tmode))
2402 if (GET_MODE_SIZE (tmode) < max_size)
2403 mode = tmode;
2424 tmode = mode_for_size (STORE_MAX_PIECES * BITS_PER_UNIT, MODE_INT, 1);
2425 if (align >= GET_MODE_ALIGNMENT (tmode))
2426 align = GET_MODE_ALIGNMENT (tmode);
2431 for (tmode = GET_CLASS_NARROWEST_MODE (MODE_INT), xmode = tmode;
2432 tmode != VOIDmode;
2433 xmode = tmode, tmode = GET_MODE_WIDER_MODE (tmode))
2434 if (GET_MODE_SIZE (tmode) > STORE_MAX_PIECES
2435 || SLOW_UNALIGNED_ACCESS (tmode, align))
2446 for (tmode = GET_CLASS_NARROWEST_MODE (MODE_INT);
2447 tmode != VOIDmode; tmode = GET_MODE_WIDER_MODE (tmode))
2448 if (GET_MODE_SIZE (tmode) < max_size)
2449 mode = tmode;
6514 expand_expr_addr_expr_1 (tree exp, rtx target, enum machine_mode tmode,
6538 return expand_expr (TREE_OPERAND (exp, 0), target, tmode, modifier);
6543 tmode, modifier);
6570 result = expand_expr (exp, target, tmode,
6607 result = expand_expr_addr_expr_1 (inner, subtarget, tmode, modifier);
6615 tmp = expand_expr (offset, NULL, tmode, EXPAND_NORMAL);
6617 result = convert_memory_address (tmode, result);
6618 tmp = convert_memory_address (tmode, tmp);
6621 result = gen_rtx_PLUS (tmode, result, tmp);
6625 result = expand_simple_binop (tmode, PLUS, result, tmp, subtarget,
6648 expand_expr_addr_expr (tree exp, rtx target, enum machine_mode tmode,
6655 if (tmode == VOIDmode)
6656 tmode = TYPE_MODE (TREE_TYPE (exp));
6661 if (tmode != Pmode && tmode != ptr_mode)
6662 tmode = Pmode;
6665 tmode, modifier);
6672 rmode = tmode;
6673 if (rmode != tmode)
6674 result = convert_memory_address (tmode, result);
6732 expand_expr_real (tree exp, rtx target, enum machine_mode tmode,
6742 ret = CONST0_RTX (tmode);
6771 ret = expand_expr_real_1 (exp, target, tmode, modifier, alt_rtl);
6777 ret = expand_expr_real_1 (exp, target, tmode, modifier, alt_rtl);
6806 expand_expr_real_1 (tree exp, rtx target, enum machine_mode tmode,
6915 return expand_expr_real_1 (SSA_NAME_VAR (exp), target, tmode, modifier,
7044 tmode, modifier);
7106 rtx ret = expand_expr_real_1 (val, target, tmode, modifier, alt_rtl);
7229 return expand_expr (t, target, tmode, modifier);
7307 return expand_expr (t, target, tmode, modifier);
7330 return expand_expr (fold (value), target, tmode, modifier);
7358 return expand_expr (fold (value), target, tmode,
7425 op0 = expand_expr (value, target, tmode, modifier);
7712 if (mode == mode1 || mode1 == BLKmode || mode1 == tmode
7717 target = gen_reg_rtx (tmode != VOIDmode ? tmode : mode);
7724 return expand_expr (OBJ_TYPE_REF_EXPR (exp), target, tmode, modifier);
7736 tmode, modifier,
7739 return expand_builtin (exp, target, subtarget, tmode, ignore);
7758 rtx result = expand_expr (TREE_OPERAND (exp, 0), target, tmode,
8495 tmode != VOIDmode ? tmode : mode, 0);
8524 mode1 = tmode != VOIDmode ? tmode : mode;
8549 target = gen_reg_rtx (tmode != VOIDmode ? tmode : mode);
8688 return expand_expr_addr_expr (exp, target, tmode, modifier);
8769 return expand_expr_real (TREE_OPERAND (exp, 0), original_target, tmode,
8832 return lang_hooks.expand_expr (exp, original_target, tmode,