Lines Matching defs:arguments

1274    arguments as were passed to the current function.  */
1377 expand_builtin_apply (rtx function, rtx arguments, rtx argsize)
1386 arguments = convert_memory_address (Pmode, arguments);
1393 emit_move_insn (incoming_args, gen_rtx_MEM (Pmode, arguments));
1399 /* Push a new argument block and copy the arguments. Do not allow
1414 arguments to the outgoing arguments address. */
1431 arguments = gen_rtx_MEM (BLKmode, arguments);
1432 set_mem_align (arguments, PARM_BOUNDARY);
1448 emit_move_insn (reg, adjust_address (arguments, mode, size));
1459 emit_move_insn (value, adjust_address (arguments, Pmode, size));
1466 /* All arguments and registers used for the call are set up by now! */
1607 /* Expand a call to __builtin_classify_type with arguments found in
1760 Pop the arguments right away in case the call gets deleted. */
2821 /* Expand a call to the memcpy builtin, with arguments in ARGLIST.
2905 /* Expand a call to the mempcpy builtin, with arguments in ARGLIST.
3199 /* Expand a call to the stpcpy builtin, with arguments in ARGLIST.
3441 /* Stabilize the arguments in case we fail. */
3702 /* Stabilize the arguments in case gen_cmpstr(n)si fail. */
3768 /* If both arguments have side effects, we cannot optimize. */
3801 list to avoid re-evaluating the function's arguments twice. */
3889 /* If both arguments have side effects, we cannot optimize. */
3908 /* Stabilize the arguments in case gen_cmpstrnsi fails. */
3933 list to avoid re-evaluating the function's arguments twice. */
4136 /* Checking arguments is already done in fold_builtin_next_arg
4222 error ("too few arguments to function %<va_start%>");
4562 /* Expand a call to the alloca builtin, with arguments ARGLIST. Return 0 if
4591 /* Expand a call to a bswap builtin. The arguments are in ARGLIST. MODE
4615 /* Expand a call to a unary builtin. The arguments are in ARGLIST.
4645 /* Verify the arguments in the original call. */
4827 /* Expand a call to fabs, fabsf or fabsl with arguments ARGLIST.
4849 /* Expand a call to copysign, copysignf, or copysignl with arguments ARGLIST.
4920 /* Verify the required arguments in the original call. */
5030 /* Verify the required arguments in the original call. */
5122 /* Verify the required arguments in the original call. */
5401 call. ARGLIST is the list of arguments of the call. FN is the
5696 none of its arguments are volatile, we can avoid expanding the
5697 built-in call and just evaluate the arguments for side-effects. */
5831 arguments that must be copied. ??? How should this value be
6522 the right number of arguments of the appropriate types, return
6547 /* If a function doesn't take a variable number of arguments,
8389 /* If all arguments are constant, and the value of len is not greater
8795 error ("too few arguments to function %qs",
8801 error ("too many arguments to function %qs",
8886 /* Check that we have exactly two arguments. */
8889 error ("too few arguments to function %qs",
8895 error ("too many arguments to function %qs",
9328 /* This signifies an ellipses, any further arguments are all ok. */
9332 /* This signifies an endlink, if no arguments remain, return
9339 checking any remaining arguments. */
9410 The call may contain arguments which need to be evaluated, but
9478 The call may contain arguments which need to be evaluated, but
9532 The call may contain arguments which need to be evaluated, but
9596 The call may contain arguments which need to be evaluated, but
9664 The call may contain arguments which need to be evaluated, but
9700 The call may contain arguments which need to be evaluated, but
9755 The call may contain arguments which need to be evaluated, but
9773 /* If both arguments are constants, evaluate at compile-time. */
9782 /* Evaluate and ignore both arguments in case either one has
9799 The call may contain arguments which need to be evaluated, but
9817 /* If both arguments are constants, evaluate at compile-time. */
9871 /* Verify the arguments in the original call. */
9940 /* Fold the new_arg's arguments (ARGLIST). Returns true if there was an error
9963 when we checked the arguments and if needed issued a warning. */
9974 error ("%<va_start%> used with too many arguments");
10020 /* Verify the required arguments in the original call. We deal with two
10341 /* Verify the required arguments in the original call. */
10422 /* __builtin_object_size doesn't evaluate side-effects in its arguments;
10799 /* Verify the required arguments in the original call. */
10903 /* Verify the required arguments in the original call. */
11000 /* Verify the required arguments in the original call. */
11154 /* Verify the required arguments in the original call. */