Lines Matching refs:m_pkthdr

3072         m->m_pkthdr.len += frag_len;
3217 m->m_pkthdr.len = m->m_len = tpa_info->len_on_bd;
3222 m->m_pkthdr.csum_data = 0xffff;
3223 m->m_pkthdr.csum_flags |= (CSUM_IP_CHECKED |
3236 m->m_pkthdr.ether_vtag = tpa_info->vlan_tag;
3241 m->m_pkthdr.rcvif = ifp;
3245 m->m_pkthdr.flowid = fp->index;
3487 m->m_pkthdr.len = m->m_len = len;
3497 m->m_pkthdr.rcvif = ifp;
3500 m->m_pkthdr.csum_flags = 0;
3507 m->m_pkthdr.csum_flags |= CSUM_IP_CHECKED;
3513 m->m_pkthdr.csum_flags |= CSUM_IP_VALID;
3525 m->m_pkthdr.csum_data = 0xFFFF;
3526 m->m_pkthdr.csum_flags |= (CSUM_DATA_VALID |
3534 m->m_pkthdr.ether_vtag = cqe->fast_path_cqe.vlan_tag;
3540 m->m_pkthdr.flowid = fp->index;
5077 "%02d: - m_pkthdr: tot_len=%d flags=0x%b csum_flags=%b\n",
5078 i, m->m_pkthdr.len, m->m_flags, M_FLAG_BITS,
5079 (int)m->m_pkthdr.csum_flags, CSUM_BITS);
5134 lso_mss = htole16(m->m_pkthdr.tso_segsz);
5177 if (m->m_pkthdr.csum_flags == CSUM_IP) {
5226 if (m->m_pkthdr.csum_flags & (CSUM_TCP |
5236 } else if (m->m_pkthdr.csum_flags & (CSUM_UDP |
5316 if (m->m_pkthdr.csum_flags & (CSUM_TCP |
5322 } else if (m->m_pkthdr.csum_flags & (CSUM_UDP |
5333 if (m->m_pkthdr.csum_flags & (CSUM_TCP |
5338 } else if (m->m_pkthdr.csum_flags & (CSUM_UDP |
5376 *parsing_data |= ((m->m_pkthdr.tso_segsz <<
5409 pbd->lso_mss = htole16(m->m_pkthdr.tso_segsz);
5546 if (m0->m_pkthdr.csum_flags & CSUM_TSO) {
5634 tx_start_bd->vlan_or_ethertype = htole16(m0->m_pkthdr.ether_vtag);
5666 if (m0->m_pkthdr.csum_flags) {
5667 if (m0->m_pkthdr.csum_flags & CSUM_IP) {
5672 if (m0->m_pkthdr.csum_flags & CSUM_TCP_IPV6) {
5675 } else if (m0->m_pkthdr.csum_flags & CSUM_UDP_IPV6) {
5679 } else if ((m0->m_pkthdr.csum_flags & CSUM_TCP) ||
5680 (m0->m_pkthdr.csum_flags & CSUM_TSO)) {
5682 } else if (m0->m_pkthdr.csum_flags & CSUM_UDP) {
5692 if (m0->m_pkthdr.csum_flags) {
5723 if (m0->m_pkthdr.csum_flags) {
5733 if (m0->m_pkthdr.csum_flags & CSUM_TSO) {
6108 fp_index = (m->m_pkthdr.flowid % sc->num_queues);
6631 m->m_pkthdr.len = m->m_len = fp->rx_buf_size;
6712 m->m_pkthdr.len = m->m_len = fp->rx_buf_size;
6774 m->m_pkthdr.len = m->m_len = SGE_PAGE_SIZE;