Deleted Added
full compact
uart_cpu_x86.c (153363) uart_cpu_x86.c (168281)
1/*-
2 * Copyright (c) 2003, 2004 Marcel Moolenaar
3 * All rights reserved.
4 *
5 * Redistribution and use in source and binary forms, with or without
6 * modification, are permitted provided that the following conditions
7 * are met:
8 *

--- 11 unchanged lines hidden (view full) ---

20 * NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE,
21 * DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY
22 * THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
23 * (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF
24 * THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
25 */
26
27#include <sys/cdefs.h>
1/*-
2 * Copyright (c) 2003, 2004 Marcel Moolenaar
3 * All rights reserved.
4 *
5 * Redistribution and use in source and binary forms, with or without
6 * modification, are permitted provided that the following conditions
7 * are met:
8 *

--- 11 unchanged lines hidden (view full) ---

20 * NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE,
21 * DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY
22 * THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
23 * (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF
24 * THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
25 */
26
27#include <sys/cdefs.h>
28__FBSDID("$FreeBSD: head/sys/dev/uart/uart_cpu_amd64.c 153363 2005-12-12 21:00:58Z imp $");
28__FBSDID("$FreeBSD: head/sys/dev/uart/uart_cpu_amd64.c 168281 2007-04-02 22:00:22Z marcel $");
29
30#include <sys/param.h>
31#include <sys/systm.h>
32#include <sys/bus.h>
33
34#include <machine/bus.h>
35
36#include <dev/uart/uart.h>

--- 7 unchanged lines hidden (view full) ---

44{
45
46 return ((b1->bsh == b2->bsh && b1->bst == b2->bst) ? 1 : 0);
47}
48
49int
50uart_cpu_getdev(int devtype, struct uart_devinfo *di)
51{
29
30#include <sys/param.h>
31#include <sys/systm.h>
32#include <sys/bus.h>
33
34#include <machine/bus.h>
35
36#include <dev/uart/uart.h>

--- 7 unchanged lines hidden (view full) ---

44{
45
46 return ((b1->bsh == b2->bsh && b1->bst == b2->bst) ? 1 : 0);
47}
48
49int
50uart_cpu_getdev(int devtype, struct uart_devinfo *di)
51{
52 struct uart_class *class;
52 unsigned int i, ivar;
53
53 unsigned int i, ivar;
54
55 class = &uart_ns8250_class;
56 if (class == NULL)
57 return (ENXIO);
58
54 /* Check the environment. */
59 /* Check the environment. */
55 di->ops = uart_ns8250_ops;
56 if (uart_getenv(devtype, di) == 0)
60 if (uart_getenv(devtype, di, class) == 0)
57 return (0);
58
59 /*
60 * Scan the hints. We only try units 0 to 3 (inclusive). This
61 * covers the ISA legacy where 4 UARTs had their resources
62 * predefined.
63 */
64 for (i = 0; i < 4; i++) {

--- 12 unchanged lines hidden (view full) ---

77 continue;
78 if (resource_int_value("uart", i, "port", &ivar) != 0 ||
79 ivar == 0)
80 continue;
81 /*
82 * Got it. Fill in the instance and return it. We only have
83 * ns8250 and successors on i386.
84 */
61 return (0);
62
63 /*
64 * Scan the hints. We only try units 0 to 3 (inclusive). This
65 * covers the ISA legacy where 4 UARTs had their resources
66 * predefined.
67 */
68 for (i = 0; i < 4; i++) {

--- 12 unchanged lines hidden (view full) ---

81 continue;
82 if (resource_int_value("uart", i, "port", &ivar) != 0 ||
83 ivar == 0)
84 continue;
85 /*
86 * Got it. Fill in the instance and return it. We only have
87 * ns8250 and successors on i386.
88 */
85 di->ops = uart_ns8250_ops;
89 di->ops = uart_getops(class);
86 di->bas.chan = 0;
87 di->bas.bst = uart_bus_space_io;
90 di->bas.chan = 0;
91 di->bas.bst = uart_bus_space_io;
88 if (bus_space_map(di->bas.bst, ivar, 8, 0, &di->bas.bsh) != 0)
92 if (bus_space_map(di->bas.bst, ivar, uart_getrange(class), 0,
93 &di->bas.bsh) != 0)
89 continue;
90 di->bas.regshft = 0;
91 di->bas.rclk = 0;
92 if (resource_int_value("uart", i, "baud", &ivar) != 0)
93 ivar = 0;
94 di->baudrate = ivar;
95 di->databits = 8;
96 di->stopbits = 1;
97 di->parity = UART_PARITY_NONE;
98 return (0);
99 }
100
101 return (ENXIO);
102}
94 continue;
95 di->bas.regshft = 0;
96 di->bas.rclk = 0;
97 if (resource_int_value("uart", i, "baud", &ivar) != 0)
98 ivar = 0;
99 di->baudrate = ivar;
100 di->databits = 8;
101 di->stopbits = 1;
102 di->parity = UART_PARITY_NONE;
103 return (0);
104 }
105
106 return (ENXIO);
107}